From 35c78055e4386f5d02aaf299c4a2f21480b07741 Mon Sep 17 00:00:00 2001 From: Lars-Dominik Braun Date: Sat, 24 Mar 2012 14:56:48 +0100 Subject: Spring cleanup Updated to buildroot 2012.02 and Linux 3.1.10, added n35d, updated install instructions. --- buildroot/skeleton/var/cache | 1 + buildroot/skeleton/var/lib/pcmcia | 1 + buildroot/skeleton/var/lock | 1 + buildroot/skeleton/var/log | 1 + buildroot/skeleton/var/pcmcia | 1 + buildroot/skeleton/var/run | 1 + buildroot/skeleton/var/spool | 1 + buildroot/skeleton/var/tmp | 1 + 8 files changed, 8 insertions(+) create mode 120000 buildroot/skeleton/var/cache create mode 120000 buildroot/skeleton/var/lib/pcmcia create mode 120000 buildroot/skeleton/var/lock create mode 120000 buildroot/skeleton/var/log create mode 120000 buildroot/skeleton/var/pcmcia create mode 120000 buildroot/skeleton/var/run create mode 120000 buildroot/skeleton/var/spool create mode 120000 buildroot/skeleton/var/tmp (limited to 'buildroot/skeleton/var') diff --git a/buildroot/skeleton/var/cache b/buildroot/skeleton/var/cache new file mode 120000 index 0000000..1431b0e --- /dev/null +++ b/buildroot/skeleton/var/cache @@ -0,0 +1 @@ +../tmp \ No newline at end of file diff --git a/buildroot/skeleton/var/lib/pcmcia b/buildroot/skeleton/var/lib/pcmcia new file mode 120000 index 0000000..f1fde8c --- /dev/null +++ b/buildroot/skeleton/var/lib/pcmcia @@ -0,0 +1 @@ +../../tmp \ No newline at end of file diff --git a/buildroot/skeleton/var/lock b/buildroot/skeleton/var/lock new file mode 120000 index 0000000..1431b0e --- /dev/null +++ b/buildroot/skeleton/var/lock @@ -0,0 +1 @@ +../tmp \ No newline at end of file diff --git a/buildroot/skeleton/var/log b/buildroot/skeleton/var/log new file mode 120000 index 0000000..1431b0e --- /dev/null +++ b/buildroot/skeleton/var/log @@ -0,0 +1 @@ +../tmp \ No newline at end of file diff --git a/buildroot/skeleton/var/pcmcia b/buildroot/skeleton/var/pcmcia new file mode 120000 index 0000000..1431b0e --- /dev/null +++ b/buildroot/skeleton/var/pcmcia @@ -0,0 +1 @@ +../tmp \ No newline at end of file diff --git a/buildroot/skeleton/var/run b/buildroot/skeleton/var/run new file mode 120000 index 0000000..1431b0e --- /dev/null +++ b/buildroot/skeleton/var/run @@ -0,0 +1 @@ +../tmp \ No newline at end of file diff --git a/buildroot/skeleton/var/spool b/buildroot/skeleton/var/spool new file mode 120000 index 0000000..1431b0e --- /dev/null +++ b/buildroot/skeleton/var/spool @@ -0,0 +1 @@ +../tmp \ No newline at end of file diff --git a/buildroot/skeleton/var/tmp b/buildroot/skeleton/var/tmp new file mode 120000 index 0000000..1431b0e --- /dev/null +++ b/buildroot/skeleton/var/tmp @@ -0,0 +1 @@ +../tmp \ No newline at end of file -- cgit v1.2.3