aboutsummaryrefslogtreecommitdiff
path: root/pwm.h
diff options
context:
space:
mode:
Diffstat (limited to 'pwm.h')
-rw-r--r--pwm.h7
1 files changed, 6 insertions, 1 deletions
diff --git a/pwm.h b/pwm.h
index eeb93c6..399a099 100644
--- a/pwm.h
+++ b/pwm.h
@@ -5,7 +5,12 @@
void pwmInit ();
void pwmStart ();
void pwmStop ();
-void pwmSetBrightness (const uint8_t i, const uint8_t b);
+void pwmSetBlink (const uint8_t, const uint8_t);
+
+/* LED on (no blink) */
+#define PWM_BLINK_ON UINT8_MAX
+/* LED off */
+#define PWM_BLINK_OFF 0
#endif /* PWM_H */